blob: 33f1258c5e9a414fa0a432f9ebaa072ed07aa26e [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
import '../embed/diff/gr-diff/gr-diff';