blob: cba97bb875a4532f8fd0f2f49bb349c0900155b5 [file] [log] [blame]
/**
* @license
* Copyright 2020 Google LLC
* SPDX-License-Identifier: Apache-2.0
*/
import '../embed/diff/gr-diff/gr-diff';