blob: 0c6eaf9543ac52c902b591e0cffa94e33a8fb3ab [file] [log] [blame]
`COM#!/bin/tclsh`END`PLN
`END`KWDproc`END`PLN fib `END`OPN{`END`PLNn`END`CLO}`END`PLN `END`OPN{`END`PLN
`END`KWDset`END`PLN a `END`LIT0`END`PLN
`END`KWDset`END`PLN b `END`LIT1`END`PLN
`END`KWDwhile`END`PLN `END`OPN{`END`PUN$`END`PLNn `END`PUN>`END`PLN `END`LIT0`END`CLO}`END`PLN `END`OPN{`END`PLN
`END`KWDset`END`PLN tmp `END`PUN$`END`PLNa
`END`KWDset`END`PLN a `END`PUN[`END`KWDexpr`END`PLN `END`PUN$`END`PLNa `END`PUN+`END`PLN `END`PUN$`END`PLNb`END`PUN]`END`PLN
`END`KWDset`END`PLN b `END`PUN$`END`PLNtmp
`END`KWDincr`END`PLN n `END`LIT-1`END`PLN
`END`CLO}`END`PLN
`END`KWDreturn`END`PLN `END`PUN$`END`PLNa
`END`CLO}`END