blob: b1590e70f92e0c126d641792b4bcad3d6b7a0af0 [file] [log] [blame]
`COM//comment`END`PLN
`END`KWDint`END`PLN main`END`PUN(`END`KWDint`END`PLN argc`END`PUN,`END`PLN `END`KWDchar`END`PLN `END`PUN**`END`PLNargv`END`PUN)`END`PLN
`END`PUN{}`END